Afin de stimuler la productivité et d'améliorer la puissance, les performances et la surface (PPA) des conceptions électroniques avancées, Synopsys, Inc. a annoncé une solution révolutionnaire de signoff ECO en or qui permet de réduire les longs délais de fermeture des conceptions techniques. La solution Synopsys PrimeClosure combine les solutions leader de Synopsys pour la signature des ordres de modification d'ingénierie (ECO), Synopsys PrimeECO™ ; et Synopsys Tweaker™ ; ECO, avec des innovations révolutionnaires, offrant les temps de fermeture ECO les plus rapides avec une capacité élevée et une précision de signature dorée Synopsys PrimeTime®. Les premiers clients ont obtenu jusqu'à 45% d'amélioration du timing, jusqu'à 10% d'amélioration de la puissance, jusqu'à 50% d'itérations ECO en moins et jusqu'à 10x plus de productivité de conception par rapport aux flux ECO traditionnels.

Les domaines d'application tels que les centres de données, les mobiles, l'automobile, l'IA et l'IdO exigent des objectifs agressifs en matière d'APP. Les nœuds de processus avancés apportent de nouvelles règles physiques et introduisent de nouveaux effets qui ont un impact sur l'APP. La taille et la complexité énormes des conceptions deep-submicron signifient que chaque analyse et chaque exécution d'optimisation ECO pour résoudre les problèmes prend plus de temps et consomme plus de ressources de calcul.

Gérer ce grand nombre de violations et conduire la convergence pour les réduire à zéro est une grande partie du défi de l'ECO. La solution Synopsys PrimeClosure, avec sa fonction innovante d'optimisation chirurgicale, améliore les métriques de conception telles que l'APP, le timing, le réseau d'horloge, la baisse de tension, la variation et le vieillissement. La solution est étroitement intégrée à la solution Fusion Compiler㬱 ; RTL-to-GDSII de Synopsys et à la solution d'analyse de synchronisation statique PrimeTime de Synopsys pour une précision de signoff en or, offrant un flux complet qui accélère la convergence de la conception et le délai de mise sur le marché des grandes conceptions.

Grâce à sa nouvelle technologie hiérarchique Gigachip, la solution Synopsys PrimeClosure met à l'échelle de manière transparente des conceptions comportant plus d'un milliard d'instances et des centaines de scénarios avec un nombre relativement faible de machines pour offrir le délai d'exécution (TAT) le plus rapide du secteur. Sa technologie d'élagage optimisée passe efficacement au crible des milliers de scénarios et des centaines de blocs hiérarchiques pour réduire le nombre de jeux de données à optimiser, ce qui se traduit par une accélération du TAT de plus de 40 % et une réduction de la mémoire jusqu'à 60 %. L'optimisation de la conception du dernier kilomètre est essentielle pour obtenir un PPA optimal.

La solution Synopsys PrimeClosure dispose d'un accès direct aux technologies de placement, de routage, d'extraction, de vérification physique, de vérification d'équivalence et de signature activées de manière incrémentielle, issues de la famille de conception numérique Synopsys, leader sur le marché. La solution Synopsys PrimeClosure est intégrée à la solution de signoff de l'intégrité de l'alimentation numérique RedHawk-SC d'Ansys, ce qui permet de mettre en place une solution ECO automatisée de signoff doré en fin d'étape tenant compte du timing, afin de prendre en compte et de corriger avec précision jusqu'à 50 % des violations de baisse de tension dynamique en fin d'étape et de maximiser l'efficacité énergétique sans affecter le timing de la puce. Le cockpit de fermeture de conception à environnement unique garantit que chaque modification est entièrement mise en œuvre et validée et crée de nouvelles opportunités de placement, de routage et de co-optimisation du timing afin d'obtenir des résultats PPA auparavant impossibles dans les flux de fermeture de conception traditionnels.